no codigo abaixo , alguem poderia me da um dica de como funciona a parte da descrição em vhdl .
este é a parte da descrição ( tmp = tmp(6 downto 0)& SI; ) .
o resto da descrição eu entendo.
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;use ieee.std_logic_1164.all;
entity shift is port( C, SI : in std_logic;
PO : out std_logic_vector(7 downto 0));
end shift;
architecture archi of shift is
signal tmp: std_logic_vector(7 downto 0);
begin
process (C)
begin
if (C'event and C='1') then
tmp = tmp(6 downto 0)& SI; -- << como funciona isso
end if;
end process;
PO = tmp;
end archi;
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------