duvida

Linguagem descritiva de hardware

Moderadores: 51, guest2003

duvida

Mensagempor rebelk » 06 Set 2008 11:15

no codigo abaixo , alguem poderia me da um dica de como funciona a parte da descrição em vhdl .


este é a parte da descrição ( tmp = tmp(6 downto 0)& SI; ) .
o resto da descrição eu entendo.

------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;use ieee.std_logic_1164.all;
entity shift is port( C, SI : in std_logic;
PO : out std_logic_vector(7 downto 0));
end shift;

architecture archi of shift is
signal tmp: std_logic_vector(7 downto 0);
begin
process (C)
begin
if (C'event and C='1') then
tmp = tmp(6 downto 0)& SI; -- << como funciona isso
end if;
end process;
PO = tmp;
end archi;

----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
rebelk
Byte
 
Mensagens: 301
Registrado em: 15 Nov 2006 20:16

Mensagempor Iran » 07 Set 2008 01:39

Não tenho bem certeza mas me parece que

O sinal tmp é deslocado 1 bit para a esquerda e no bit 0 entra o valor da entrada SI


tmp novo = tmp(6) tmp(5) tmp(4) tmp(3) tmp(2) tmp(1) tmp(0) SI

Zé Iran.
Avatar do usuário
Iran
Word
 
Mensagens: 558
Registrado em: 16 Out 2006 18:10
Localização: Imperatriz - MA


Voltar para Verilog, VHDL, SystemC ( PLAs, CPLDs, FPGAs, etc... )

Quem está online

Usuários navegando neste fórum: Nenhum usuário registrado e 1 visitante

x