Kit FPGA c/ Ethernet de baixo custo ?

Linguagem descritiva de hardware

Moderadores: 51, guest2003

Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor andre_luis » 17 Out 2014 08:23

Pessoal,


Encontrei o Kit no link abaixo, e ao que parece custa na faixa de U$ 89:
http://www.em.avnet.com/en-us/design/dr ... Board.aspx

Alguem saberia indicar alguma opção mais barata ?
"Por maior que seja o buraco em que você se encontra, relaxe, porque ainda não há terra em cima."
Avatar do usuário
andre_luis
Dword
 
Mensagens: 5447
Registrado em: 11 Out 2006 18:27
Localização: Brasil - RJ

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor tcpipchip » 17 Out 2014 15:34

Procura Altera MAX 10.

TCPIPCHIP
------------------------------------------
http://www.youtube.com/tcpipchip
Avatar do usuário
tcpipchip
Dword
 
Mensagens: 6560
Registrado em: 11 Out 2006 22:32
Localização: TCPIPCHIPizinho!

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor andre_luis » 17 Out 2014 18:53

Legal, o valor do Kit desse aí é mais barato, U$ 49:
http://www.altera.com/products/devkits/ ... ation.html

Pelo visto vou ter de comprar por fora o cabo de gravação, mas pelo menos esse custa menos de R$ 13
http://www.ebay.com/itm/1Pcs-New-altera ... 27ee80189b

Aproveitando a oportunidade, voce já usou esse kit ?
Tenho curiosidade em saber do nivel dos exemplos aplicados á comunicação em rede.

[EDITADO]

Nada feito, olhando com mais calma vi que o kit não tem Ethernet.
"Por maior que seja o buraco em que você se encontra, relaxe, porque ainda não há terra em cima."
Avatar do usuário
andre_luis
Dword
 
Mensagens: 5447
Registrado em: 11 Out 2006 18:27
Localização: Brasil - RJ

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor msamsoniuk » 18 Out 2014 04:17

depende da aplicacao que vc tem em mente... mas independente disso, eu particularmente prefiro xilinx! o principal motivo eh pq eu sou do contra sempre: todo mundo curte VHDL, eu curto verilog. todo mundo curte windows, eu curto unix. todo mundo curte x86, eu curto powerpc... na real o que eu nao curto eh sofrer usando porcarias, mas vamos fazer de conta que eu nao escrevi isso! huahuahua

o kit da avnet parece caro, mas eh bem compacto, potente e relativamente bem dimensionado. alem da FPGA LX9 da xilinx, o kit inclui:

- 64MB SDRAM (vc pode colocar um softcore na FPGA e rodar software nele!)
- 16MB FLASH (para a FPGA se autoprogramar e tambem para um eventual softcore bootar)
- PHY ethernet 10/100Mbps (eh o principal atrativo)
- USB to UART (para servir de "console" para a FPGA)
- USB to JTAG (nao precisa comprar um programador externo para a FPGA)
- conectores, chaves, leds e outras firulas.

a FPGA em si tem 16 unidades MAC e performance bruta de ateh 6 GMAC/s, ou seja, pode competir +/- de igual para igual com um x86 dual-core de 1.5GHz! depende da aplicacao e da sua capacidade de otimizar os pipelines... alem das unidades MAC, a FPGA possui 32 memorias dual-port de 2KB, 5720 lookup tables e 11440 flip-flops. infelizmente, apesar de ter quase 200 GPIOs, bem poucos estao disponiveis na placa, entao a aplicabilidade desse kit depende muito da aplicacao. o "pacote" que vem com o kit inclui um linux rodando em um softcore da xilinx. dependendo do que vc precisa fazer, isso pode ser a melhor solucao pq eh um negocio bem de alto nivel: o linux faz parte do trabalho complicado e vc cria "aceleradores" de hardware na FPGA para o linux usar.

mas existem outras alternativas... por um tantinho a mais em relacao ao kit da avnet, vc pode comprar um parallela 16:

http://shop.adapteva.com/collections/fe ... cro-server

eh realmente um canhao que vale cada centavo investido. serio! a FPGA eh uma zynq 7010 com 80 unidades MAC e capacidade de 100GMAC/s! :O alem disso tem 60 memorias dual-port de 4KB, 17600 lookup tables e 35200 flip-flops. e nem precisa gastar logica com softcore: o chip integra DOIS cores arm rodando a 800MHz, caches L1 de 32K, caches L2 de 512K, 256K de SRAM, dois controladores ethernet GbE e uma infinidade de perifericos que jah estao prontos no silicio e nao usam a logica da FPGA. mas daih os caras que projetaram o kit acharam que isso nao era suficiente, entao na parallela-16 eles integraram um processador epiphany de 16 cores operando a 1GHz, bem como 1GB de DDR3 e uma interface ethernet GbE! :O

mas se vc nao precisa de tanta forca bruta, quer reduzir custos e pode comecar a esquentar um pouco a cabeca fazendo as coisas no braco, uma primeira alternativa seria partir para uma solucao baseada no J1:

http://www.excamera.com/sphinx/fpga-j1.html

eh um softcore que funciona com forth, roda a 100MIPS e suportamente tem algumas solucoes prontas para network. a principal vantagem dessa solucao em comparacao com o linux eh que cabe nas blockrams da FPGA e, portanto, nao requer SDRAM para funcionar, como ocorre com o linux. vc pode usar qualquer kit mais barato e adicionar um PHY como este aqui:

http://www.wvshare.com/product/DP83848- ... -Board.htm

e se apenas 10Mbps for suficiente para a sua aplicacao e vc quer esquentar muito a cabeca, dah para meter a mao nos eletrons diretamente e codificar tudo direto em verilog:

http://www.fpga4fun.com/10BASE-T0.html

daih qualquer kit resolve e soh precisa colocar um circuito extra com o trafo e conector RJ45. por exemplo, esse aqui:

http://store.gadgetfactory.net/papilio-one-250k/

ou entao dar uma fucada nos kits que esse cara lista aqui:

http://www.joelw.id.au/FPGA/CheapFPGADevelopmentBoards

tem de todos os precos, tamanhos e gostos! :v
Avatar do usuário
msamsoniuk
Dword
 
Mensagens: 2935
Registrado em: 13 Out 2006 18:04

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor andre_luis » 18 Out 2014 09:24

Rapaz,


Sem palavras pra agradecer pelo suporte, foi realmente muito valioso.
Então, sobre as informações que voce passou:

Quanto á escolha da linguagem, por já ter usado anteriormente o VHDL tenho um certo conforto, e se estou certo, me parece um pouco mais estruturado e com mais recursos que Verilog, mas posso realmente estar redondamente enganado. Outro aspecto é que parece que o VHDL é difundido pelo mundo todo, enquanto que o Verilog tem mais uso na Europa que nos EUA, o que me faz ter certo receio de não conseguir mais pra frente algum suporte seja em foruns ou exemplos disponibilizados em blogs e afins.

O fabricante pra mim não importa, já tive contato com as ferramentas Quartus e Isepack de ambos, Altera e Xilinx, e nenhum me fez querer chutar o computador, o que foi um bom sinal, mas também não tava querendo sair muito desses 2 aí, pois são os mais tradicionais e parece que grande parte dos kits estão nessa linha. Embora assim como voce eu tenha uma certa queda pelo Xilinx ( principalmente por já ter adquirido kits do AEE e comprado revistas com publicações dele ), o Altera tem um atrativo pelo fato de possuir algumas ferramentas avançadas, que embora não vá as utilizar agora, eu já estaria adaptado.

Bom, o último link que voce enviou, eu já o conhecia e realmente é espantoso, tem bastante coisa lá, inclusive o kit LX9-MicroBoard de $89 que mencionei encontrei lá, mas como voce comentou, apesar de compacto, é meio caro se for medir o custo material. Olhando com mais calma agora, vi que tem com ETH também no link do kit BeMicro-SDK que custa $79, mas tal como o outro o custo ainda parece alto ( acredito que devido á licença NIOS2 embutida, que particularmente não estou tão interessado agora ). Enfim, o custo agora nesse momento é um fator condicionante, pois sei que se cair na alfandega algo muito superior á $49, a chance de sobretaxar e multiplicar o custo vai ser maior.

Já a aplicação em si, não seria nada extravagante, mas apenas cuspir um valor lido pela rede via TCP. Sei que daria pra fazer com menos extresse com um uC, mas o que o cara quer é que isso seja depois integrado num produto que ele já possui em FPGA, sendo que independe da plataforma que eu usar, depois ele vai integrar ao dele. Como ele já usa Altera seria a 1a opção, mas ficou acertado que posso começar em qualquer outra, contanto que não use de bibliotecas especificas, não-standard.

Enfim, olhando todas as opções, não achei nada com ETH, que me poupasse do trabalho futuro de ter de montar alguma gambiarra, ou plugar algum shield. Convenhamos, as opções compactas stick via USB são fantásticas, pois literalmente permitem desenvolver alimentado pela própria porta, apenas com um Laptop e um cabo de rede, como faço usualmente sentado confortável no sofa. Procurei nos sites do Ebay e DX pra ver se encontrava ao menos opções Xing-Ling, mas também nada.

Resumindo, acho que vou ter de considerar as opções de $79-89 como as que melhor atendem á necessidade atual pelo menor custo.
Que saudades dos kits do Agusto Einsfieldt, eram nacionais e acessiveis !


AT+
"Por maior que seja o buraco em que você se encontra, relaxe, porque ainda não há terra em cima."
Avatar do usuário
andre_luis
Dword
 
Mensagens: 5447
Registrado em: 11 Out 2006 18:27
Localização: Brasil - RJ

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor tcpipchip » 18 Out 2014 18:43

Marcelo

FORTH ainda firme e forte!!!!!!!!!!!
------------------------------------------
http://www.youtube.com/tcpipchip
Avatar do usuário
tcpipchip
Dword
 
Mensagens: 6560
Registrado em: 11 Out 2006 22:32
Localização: TCPIPCHIPizinho!

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor msamsoniuk » 18 Out 2014 19:54

entao, eu passei DECADAS tentando ficar fluente em VHDL e nunca consegui! :O

a fluencia significa ter a capacidade de escrever diretamente uma logica complexa em VHDL e, ateh entao, eu usava a metodologia popular na decada de 80: logica TTL discreta. construia o prototipo usando logica TTL discreta, testava e soh entao integrava aquela logica em um codigo VHDL para uma CPLD ou FPGA. quando comecei a trabalhar na siemens, percebi que a metodologia que eu conhecia nao era diferente da que eles utilizavam, de modo que grande parte das placas tinham uma CPLD para integrar a logica TTL discreta. e nessa epoca as pessoas que pareciam conhecer algo sobre o assunto frequentemente eram vistas com um chapelao de bruxo e um cajado murmurando coisas como "eu tenho que salvar os pequeninos dos orcs!". quando o problema saia do basico testavel com TTL discreto, tudo ficava dificil, tudo ficava caro, tudo demorava tempo infinito e, no final, nada cabia dentro das FPGA disponiveis.

mas ateh entao o mundo para mim era simples: verilog era uma aberracao utilizada apenas na esfera de influencia americana, enquanto o resto do mundo livre utilizava vastamente VHDL.

entao um dia isso aqui me chamou a atencao:

http://www.see.ed.ac.uk/~gerard/Teach/V ... ooley.html

conversei com um amigo "revolucionario" que usava verilog e pedi umas dicas. contei que perdi DECADAS tentando aprender VHDL, ele riu e me falou que provavelmente eu estaria fluente em verilog em uma ou duas semanas. eu nao acreditei, daih ele me passou esse site:

http://www.asic-world.com/verilog/veritut.html

depois a introducao tem um topico chamado "verilog em um dia". do ponto de vista de algoritmo, VHDL e verilog sao essencialmente a mesma coisa: para criar um contador, vc vai criar uma variavel e vai incrementar a cada ciclo de clock. a forma de descrever eh que muda.

em VHDL:

Código: Selecionar todos
entity COUNTER is
  port(
    CLK: in std_logic;
    COUNTERO: out std_logic_vector(7 downto 0)
  );
end COUNTER;

architecture COUNTER_a of COUNTER is
  signal COUNTER : std_logic_vector(7 downto 0);

  process(CLK)
  begin
    if(CLK=1 and CLK'event)
    then
      COUNTER <= COUNTER+1;
    end if;
  end process;
 
  COUNTERO <= COUNTER;

end COUNTER_a;


provavelmente deve ter algum erro de sintaxe, visto que eu nao consigo escrever isso em VHDL sem consultar um manual.

em verilog, porem, a coisa fica simples:

Código: Selecionar todos
module counter(input CLK, output reg [7:0] COUNTER);

  always@(posedge CLK) COUNTER <= COUNTER+1;

endmodule;


o algortimo eh exatamente o mesmo! mas a diferenca de notacao eh gigantesca. como todos apontam, vc perde tanto tempo com firulas decorativas em VHDL que vc nao consegue se concentrar no problema real!

sobre o nivel de utilizacao, bom, primeiro temos que dividir as coisas: ASICs vs. FPGAs e sintese vs. simulacao. a diferenca entre ASIC e FPGA eh clara: FPGAs sao mais faceis de testar e alterar. no caso do ASIC, vc nao pode errar. jah a diferenca entre sintese e simulacao implica em tempo de implementacao e tempo de verificacao. e no caso do ASIC, como vc nao pode errar, a relacao entre o tempo de implementacao e verificacao pode chegar a 1:10. jah no caso da FPGA, essa relacao tende a ficar em 1:1, pq vc pode corrigir o projeto a qualquer momento. disso vc obtem quatro graficos de utilizacao no mundo.

para a implementacao e verificacao de FPGAs, temos o cenario que todos conhecem, com VHDL na frente, mas seguido de perto de verilog:

http://s3-blogs.mentor.com/verification ... ig-8-2.gif
http://s3-blogs.mentor.com/verification ... ig-8-5.gif

eh de onde sai aquela tipica "o bom desenvolvedor deveria ser fluente em ambas".

porem, tendo em mente que o risco em ASICs eh bem maior, quando olhamos o cenario sob o ponto de vista dos ASICs, para implementacao e verificacao temos a lideranca de verilog por larga margem:

http://s3-blogs.mentor.com/verification ... ig-8-1.gif
http://s3-blogs.mentor.com/verification ... ig-8-3.gif

note que existe uma migracao de verilog para systemverilog: essencialmente eh algo como ir de C para C++ e preenche o "vazio" de alto nivel que verilog possui em relacao a VHDL.

agora, faca uma estatistica rapida em todos os eletronicos a sua volta e calcule de cabeca o volume de FPGAs em relacao a outros ASICs... eh realmente bem baixo, provavelmente bem abaixo de 5%, embora exista um esforco atualmente para migrar ASICs caros para FPGAs baratas. mas pegue nao apenas em termos de escala atual, mas tambem em escala acumulada na historia... desde quando se usam ASICs e desde quando se usam FPGAs? provavelmente isso empurra a representatividade acumulada para abaixo de 1%! e isso significa que em termos de escala, que a representatividade dos dois primeiros graficos eh apenas 1% em relacao aos dois ultimos.

bom, migrar de VHDL para verilog foi a melhor coisa que jah fiz. soh pegando o gancho da historinha do gandalf lah em cima, hoje eu tenho o cenario totalmente oposto do que tinha com VHDL: as coisas sao faceis, baratas e rapidas... e quando tudo fica pronto, em geral cabe na FPGA mais barata disponivel! hehehe
Avatar do usuário
msamsoniuk
Dword
 
Mensagens: 2935
Registrado em: 13 Out 2006 18:04

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor andre_luis » 19 Out 2014 06:56

É Marcelo, parece que voce conseguiu pegar o bonde no momento perfeito.

Quando eu estava na facildade, fiz ourulhoso um curso de Microeletronica em 2 períodos numa turma que começou com 6 cabeças e no final concluiram apenas 3, pois os demais "prudentemente" enxergavam que esse tipo de conhecimento no Brasil seria algo como saber esperanto, ou seja, não serviria pra nada no mercado de trabalho. Decidi fazer um projeto final na metodologia ASIC que voce mencionou, desenhando e interconectando diretamente os blocos no CAD, tal como se faz no esquemático. No total foram 308 standard cells, que hoje vejo ser algo mediocre numa rotina de linguagem descritiva para o mesmo período de 8-9 meses de duração do desenvolvimento do projeto.

Ao mesmo tempo, havia uma turma de outra disciplina iniciando o primeiro curso incluido o VHDL nas aulas práticas, e eu torcia o nariz dizendo ser coisa de programador, meio que os acusandos de serem eletronicos frustrados, com medo de encarar de frente desafio de gente-grande. Uns 7 a 8 anos depois, a disciplina que cursei na UFRJ não era mais ministrada, os professores voltaram para os paises onde estudaram, e o laboratório que tinha equipamentos e licenças de mais de 1 milhão de dolares virou deposito de mobiliário do andar, e deatlhe: as estações Unix estavam empilhadas com metros de poeira.

Enfim, tá claro que perdi uma oportunidade de aprender algo útil no momento certo como o VHDL e poder usar profissionalmente, e também que a tendencia do mundo agora seria migrar para o Verilog, mas porque será então que nos anuncios de emprego quase não se menciona o Verilog, mas muito mais o VHDL ? ( ok, confesso que não estou olhando pro Brasil, mas pra um pais especifico da Europa ). Será que se aprender agora o Verilog, poderá ser reconhecido com respeito pelos empregadores ?

Eu já estava relativamente confortável com o VHDL, mas você chegou pra confundir minha cabeça :D , e o fato é que com suas dicas já me convenci em usar o Verilog, ao menos nesse projeto, mas tava considerando mais uma vez a relação do conhecimento e seu aproveitamento pelo mercado de trabalho. Enfim, é mais um desses riscos que temos de calcular sozinhos, e torcer pro próximo bonde passar na hora certa...

Novamente, agradeço imensamente pelas suas dicas.


AT+
"Por maior que seja o buraco em que você se encontra, relaxe, porque ainda não há terra em cima."
Avatar do usuário
andre_luis
Dword
 
Mensagens: 5447
Registrado em: 11 Out 2006 18:27
Localização: Brasil - RJ

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor msamsoniuk » 19 Out 2014 20:44

entao, eu trabalho em uma empresa europeia e, como era de se esperar, o VHDL eh o padrao faz decadas. mas eu jah havia visto varias deficiencias dos projetos anteriores feitos em VHDL, entao nao foi dificil convencer meu chefe que seria vantagem migrar para verilog. eventualmente, acabei trabalhando em conjunto com o pessoal na alemanha e, embora eles usassem apenas VHDL nos modulos deles, nao houve nenhum questionamento sobre eu estar entregando os meus modulos em verilog. na medida que a interface dos modulos escritos em verilog eh essencialmente eletrica, nao existem realmente problemas de integracao com VHDL e foi tudo transparente, ou seja, os alemaes instanciam os modulos escritos em verilog no VHDL como se esses modulos realmente fossem escritos em VHDL.

agora, se vc sentir falta de niveis de abstracao maiores que VHDL fornece, vc pode pensar em ir aprendendo systemverilog:

Imagem

essencialmente, ir do verilog para o systemverilog eh a mesma ideia que ir do C para o C++: vc continua usando tudo que jah usava e ganha umas facilidades extras. de fato, se vc olhar em todos aqueles graficos de mercado do meu post anterior, vc vai ver que systemverilog eh a linguagem que mais cresce, em geral as custas do VHDL. eu jah andei fazendo uns testes, tem algumas facilidades interessantes, mas particularmente nao senti realmente necessidade de ir a fundo systemverilog e continuo trabalhando apenas com verilog. as vantagens em termos de abstracao maior seriam justamente para simulacoes mais detalhadas. por exemplo, no caso do projeto de um controlador ethernet, vc provavelmente escreveria o controlador quase que integralmente em verilog, mas para testar vc escreveria funcoes que transmitem, recebem e verificam frames ethernet em systemverilog. como o codigo em systemverilog tem um nivel comparavel a C e C++, vc poderia ateh portar uma stack IP, colocar dois controladores um de frente para o outro e trocar trafego na simulacao. a vantagem em termos de tempo e qualidade eh evidente e mostra pq a industria de ASICs esta migrando em larga escala para systemverilog.

assim, se vc pretende ir para a area de ASICs, eu diria que aprender verilog eh o primeiro passo para vc nao perder o proximo bonde, que eh justamente aprender systemverilog! :)
Avatar do usuário
msamsoniuk
Dword
 
Mensagens: 2935
Registrado em: 13 Out 2006 18:04

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor andre_luis » 19 Out 2014 22:00

Valeu,


A questão da portabilidade realmente ajuda um pouco, apesar de que acredito que nas empresas por aí a fora tenha de ter conhecimento do VHDL pra dar manutenção no que já existe.

Bom, a propósito, como não escolhi ainda o fabricante do Kit, pra não ter de instalar mais de 20G de Isepack+QuartusII, decidi baixar o Modelsim da Mentor Graphics ( student version ) de uns 300M pra ir fazendo uns testes. Acha que seria uma ferramenta razoável pra ir começando a brincar com a linguagem, ou carece de mais recursos ?
"Por maior que seja o buraco em que você se encontra, relaxe, porque ainda não há terra em cima."
Avatar do usuário
andre_luis
Dword
 
Mensagens: 5447
Registrado em: 11 Out 2006 18:27
Localização: Brasil - RJ

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor msamsoniuk » 20 Out 2014 03:08

eu nao tenho ideia se o modelsim apenas eh suficiente... sera que nao precisa de nenhum tool para sintetizar? quando eu comecei a brincar com isso, eu utilizava o iverilog, que eh um tool de prompt de comando, e o gtkwave, que permite visualizar as saidas geradas pelo iverilog. depois, quando comecei a trabalhar no dia a dia com isso, comecei a usar o ISE webpack 10.1 e estou usando ateh hoje... no site o instalador tem uns 3GB de tamanho, mas como eh um software de quase 10 anos atras, ateh que roda bem em qualquer computador. em termos de suporte, ele suporta apenas ateh a familia spartan-3a, mas na pratica eu uso ele para desenvolver para qualquer device e daih apenas importo os verilogs na ferramenta mais atualizada para a respectiva CPLD ou FPGA (ISE webpack 14.7, plan-ahead, vivado, quartus, etc).

andre_teprom escreveu:Valeu,

A questão da portabilidade realmente ajuda um pouco, apesar de que acredito que nas empresas por aí a fora tenha de ter conhecimento do VHDL pra dar manutenção no que já existe.

Bom, a propósito, como não escolhi ainda o fabricante do Kit, pra não ter de instalar mais de 20G de Isepack+QuartusII, decidi baixar o Modelsim da Mentor Graphics ( student version ) de uns 300M pra ir fazendo uns testes. Acha que seria uma ferramenta razoável pra ir começando a brincar com a linguagem, ou carece de mais recursos ?
Avatar do usuário
msamsoniuk
Dword
 
Mensagens: 2935
Registrado em: 13 Out 2006 18:04

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor pbernardi » 21 Out 2014 14:50

Bom, eu fui trazido pro lado negro da força pelo Marcelo e comecei a usar o Verilog :)

O que posso dizer é que os poucos circuitos que mexi com VHDL davam dor de cabeça para entender e mexer: você nunca sabe com certeza se seu circuito está inferindo flip-flops ou laches, tem que ficar procurando na internet erros de sintaxes simples e em códigos um pouco mais complexos você tem que parar e pensar até mesmo se você está produzindo um registro ou um conexão direta.

Em Verilog, por ser bem menos engessado, você codifica e a ferramenta sintetiza. As dúvidas de sintaxe são bem poucas, e uma vez entendido o básico, você não precisa ficar quebrando a cabeça para escrever algo do jeito que o HDL quer: você simplesmente escreve do modo mais simples possível. Fica muito mais tempo para pensar no circuito ou na lógica e menos tempo para pensar na sintaxe.
But to us there is but one God, plus or minus one - Corinthians 8:6±2. (xkcd.com)
pbernardi
Word
 
Mensagens: 707
Registrado em: 12 Out 2006 19:01
Localização: Curitiba-PR

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor andre_luis » 21 Out 2014 15:11

Olá Paulo, embora eu já tivesse sido arrebatado, obrigado por reforçar a opinião do Marcelo, os argumentos são fortes.
Pelo visto, se entendi bem, a coisa parece se resumir em : Risco↑=VHDL / Trabalho↓=Verilog.

Aproveitando a oportunidade, saberia indicar alguma ferramenta generica Free para compilação e simulação nessas linguagens ?
Tenho baixado alguns, mas ou as versões são limitadas, ou ainda não consegui entender o uso corretamente.

Se o WebIsepack ou o Quartus fizerem isso, sem problamas, mas faz tempo que não brinco com isso, e lembro de gravar diretamente na placa, ou seja, a simulação era o próprio circuito físico montado, digamos assim.
"Por maior que seja o buraco em que você se encontra, relaxe, porque ainda não há terra em cima."
Avatar do usuário
andre_luis
Dword
 
Mensagens: 5447
Registrado em: 11 Out 2006 18:27
Localização: Brasil - RJ

Re: Kit FPGA c/ Ethernet de baixo custo ?

Mensagempor pbernardi » 21 Out 2014 15:47

Olá Andre,

Acho que o que tem melhor hoje free é o ISE/Vivado e o Quartus mesmo.

Do lado da Xilinx, o ISE vai até a família da 6 (Spartan 6, Virtex 6), enquanto o Vivado se foca na família 7 (Zynq, Virtex 7, Kintex 7, Artix 7). Não conheço muito bem o Quartus por não trabalhar muito com Altera.

De um modo geral, a codificação e simulação podem ser feitos nestes ambiente mesmo. Eles são free até certo ponto - só suporta os devices básicos e intermediários de cada família. Se você precisar usar uma Virtex, por exemplo, deve comprar uma licença - o que não deve ser um problema muito grande, uma vez que cada Virtex sai na casa dos milhares de dólares! :D

O debug pode ser feito na placa mesmo sem problema. Pra quem mexe com hardware e sabe manusear um osciloscópio, as opções de debug são meio que infinitas, basta criatividade e pinos de I/O livres.
But to us there is but one God, plus or minus one - Corinthians 8:6±2. (xkcd.com)
pbernardi
Word
 
Mensagens: 707
Registrado em: 12 Out 2006 19:01
Localização: Curitiba-PR


Voltar para Verilog, VHDL, SystemC ( PLAs, CPLDs, FPGAs, etc... )

Quem está online

Usuários navegando neste fórum: Nenhum usuário registrado e 0 visitantes

x